Error while Generating VHDL code via System Generator.

11 views (last 30 days)
When I am trying to use System Generator on my MDL, its giving me this error. My MDL has 10 subsystem. If I run each subsystem separately it doen't give me any error but when I run System Generatyor for more than 1 subsystem I get the following error.
PLEASE HELP
--------------------------------- Version Log ----------------------------------
Version Path
System Generator 12.1 G:/Xilinx/12.1/ISE_DS/ISE/sysgen
Matlab 7.11.0.584 (R2010b) C:/Program Files/MATLAB/R2010b
ISE G:/Xilinx/12.1/ISE_DS/ISE
--------------------------------------------------------------------------------
Summary of Errors:
Error 0001: G:\Xilinx\12.1\ISE_DS\ISE\sysgen\bin\nt\xlStatusBoxManage...
Block: 'tmp_a_a_a_a/tansig1/Gateway Out'
Error 0002: Internal Error
Block: 'tmp_a_a_a_a/Subsystem1/Subsystem21/Subsystem 22/Subsystem43/AddSub'
--------------------------------------------------------------------------------
Error 0001:
Reported by:
'tmp_a_a_a_a/tansig1/Gateway Out'
Details:
G:\Xilinx\12.1\ISE_DS\ISE\sysgen\bin\nt\xlStatusBoxManager.p: Too
many files open; check that FILES = 20 in your CONFIG.SYS file.
--------------------------------------------------------------------------------
Error 0001:
Reported by:
'tmp_a_a_a_a/Subsystem1/Subsystem21/Subsystem 22/Subsystem43/AddSub'
Details:
An internal error occurred in the Xilinx Blockset Library.
Please report this error to Xilinx (http://support.xilinx.com),
in as much detail as possible. You may also find immediate help
in the Answers Database and other online resources at http://support.xilinx.com.
Error occurred during "Simulation Initialization".
--------------------------------------------------------------------------------

Accepted Answer

Walter Roberson
Walter Roberson on 29 Feb 2012
The error message says that it is a Xilinx problem that should be reported to Xilinx support.
  1 Comment
Indraneel Mukhopadhyay
Indraneel Mukhopadhyay on 29 Feb 2012
I have sent the same in xilinx forumn but I am yet to get an answer. Are u sure its xilinx error.

Sign in to comment.

More Answers (2)

Gabriel Brito
Gabriel Brito on 3 Aug 2017
Edited: Walter Roberson on 3 Aug 2017
Hi.
I'm trying do generate VHDL code of my simulink system but an error appears:
Errors occurred during netlist generation.
Error reported by S-function 'sysgen' in '<a href="matlab:open_and_hilite_hyperlink ('test2/Constant1','error')">test2/Constant1</a>':
A summary of Sysgen errors has been written to C:/Xilinx/14.7/ISE_DS/SysGen/test2/test2_sysgen_error.log
*Installation directory:*
C:\Xilinx\14.7\ISE_DS\SysGen
C:\MATLAB\R2014a
And i'm lauching sysgen from from Start menu , system generator shortcut. Anyone can help me?
Thanks
  5 Comments
Gabriel Brito
Gabriel Brito on 3 Aug 2017
I saw some web pages saying that system generator of ISE 14.7 don't have compatiblaty with matlab2014a. This is true?

Sign in to comment.


Gabriel Brito
Gabriel Brito on 3 Aug 2017
Hi.
I'm trying to generate vhdl code of my simulink system and an error appeared:
Begin generation Checking model status Checking simulation times Performing compilation and generation Compilation and generation completed in 25.1035 seconds
* ERROR *
An error was encountered while compiling the design for hardware co-simulation. Please refer to hwcosim_compile_error.log for details.
Anyone can help me?
My version of ISE is 14.7 and Matlab2014a. Directiory of installation:
C:\Xilinx\14.7\ISE_DS\SysGen
C:\MATLAB\R2014a

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!